APPLIED MATERIALS INC /DE
10-K405, 2000-01-31
SPECIAL INDUSTRY MACHINERY, NEC
Previous: ANALYSTS INTERNATIONAL CORP, SC 13G/A, 2000-01-31
Next: APPLIED MATERIALS INC /DE, 10-K405, 2000-01-31



UNITED STATES
SECURITIES AND EXCHANGE COMMISSION
Washington, DC 20549


Form 10-K


Mark one

  [X]   ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE
SECURITIES EXCHANGE ACT OF 1934

For the fiscal year ended October 31, 1999

  [   ]   TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE
SECURITIES EXCHANGE ACT OF 1934

For the transition period from                 to                  .

Commission file number 0-6920


APPLIED MATERIALS, INC.

(Exact name of registrant as specified in its charter)
     
Delaware 94-1655526
(State or other jurisdiction (I.R.S. Employer
of incorporation or organization) Identification No.)
 
3050 Bowers Avenue, Santa Clara, California 95054
(Address of principal executive offices) (Zip Code)

Registrant’s telephone number, including area code (408) 727-5555

Securities registered pursuant to Section 12(b) of the Act:

     
Title of class Name of each exchange on which registered


None None

Securities registered pursuant to Section 12(g) of the Act:

Common Stock, $.01 par value

      Indicate by check mark whether the registrant (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the registrant was required to file such reports), and (2) has been subject to such filing requirements for the past 90 days.  Yes  [X]  No  [   ].

      Indicate by check mark if disclosure of delinquent filers pursuant to Item 405 of Regulation S-K is not contained herein, and will not be contained, to the best of registrant’s knowledge, in definitive proxy or information statements incorporated by reference in Part III of this Form 10-K or any amendment to this Form 10-K.  [X]

      Aggregate market value of the voting stock held by nonaffiliates of the registrant as of January 2, 2000: $48,488,897,603

      Number of shares outstanding of the issuer’s Common Stock, $.01 par value, as of January 2, 2000: 385,753,585

DOCUMENTS INCORPORATED BY REFERENCE:

      Portions of the Applied Materials 1999 Annual Report for the year ended October 31, 1999 are incorporated by reference into Parts I, II and IV of this Form 10-K.

      Portions of the definitive Proxy Statement for Applied Materials’ Annual Meeting of Stockholders to be held on March 21, 2000 are incorporated by reference into Part III of this Form 10-K.




      Certain of the information contained or incorporated by reference in this Annual Report on Form 10-K is forward-looking in nature. All statements included or incorporated by reference in this Annual Report on Form 10-K or made by management of Applied Materials, Inc. and its subsidiaries (Applied Materials), other than statements of historical fact, are forward-looking statements. Examples of forward-looking statements include statements regarding Applied Materials’ future financial results, operating results, product successes, business strategies, projected costs, future products, competitive positions and plans and objectives of management for future operations. In some cases, forward-looking statements can be identified by terminology such as “may,” “will,” “should,” “would,” “expects,” “plans,” “anticipates,” “believes,” “estimates,” “predicts,” “potential,” “continue,” or the negative of these terms or other comparable terminology. Any expectations based on these forward-looking statements are subject to risks and uncertainties and other important factors, including those discussed in the section entitled “Management’s Discussion and Analysis — Trends, Risks and Uncertainties” in the Applied Materials 1999 Annual Report, which section is incorporated herein by reference. These and many other factors could affect the future financial and operating results of Applied Materials, and could cause actual results to differ materially from expectations based on forward-looking statements made in this document or elsewhere by or on behalf of Applied Materials. All references to fiscal year apply to Applied Materials’ fiscal year, which ends on the last Sunday in October.

PART I

Item 1:  Business

      Organized in 1967, Applied Materials develops, manufactures, markets and services semiconductor wafer fabrication equipment and related spare parts for the worldwide semiconductor industry. Customers for these products include semiconductor wafer manufacturers and semiconductor integrated circuit (IC or chip) manufacturers, who either use the ICs they manufacture in their own products or sell them to other companies. These ICs are the key components in most advanced electronic products such as computers, telecommunications devices, automotive engine management systems and electronic games.

      Building a chip requires the deposition of a series of film layers. The deposition of these film layers is interspersed with numerous other processes that create circuit patterns, remove portions of the film layers, and perform other functions such as heat treatment, measurement and inspection. Advanced chip designs require well over 300 individual steps, and many of these processes are performed multiple times. Most chips are built on a base of silicon, called a wafer, and consist of two main structures. The lower structure is made up of components, typically transistors or capacitors, and the upper structure consists of the “interconnect” circuitry that connects the components. Applied Materials currently manufactures equipment that performs most of the primary steps in the chip fabrication process, including: physical and chemical deposition, electroplating, etch, ion implantation, rapid thermal processing (RTP), chemical mechanical polishing (CMP), metrology and wafer/reticle inspection.

      The architecture of most semiconductor manufacturing equipment is either batch type, which processes many wafers at once, or single-wafer type, which processes each wafer individually. Many of Applied Materials’ single-wafer systems are designed to accept multiple (two or more) individual processing chambers on a platform and process wafers in each of the chambers simultaneously. Single-wafer, multi-chamber systems provide precision and control, as well as productivity and integration capabilities. Applied Materials has four major single-wafer, multi-chamber platforms: the Precision 5000®, the Centura®, the Endura® and the Producer™. These platforms currently support physical and chemical deposition, etch and RTP technologies.

      In fiscal 1999, Applied Materials began developing integrated processing capabilities for its customers in the form of a Process Module™ approach, to optimize sets of two to four systems to work together as a unit. Applied Materials expects this concept to save customers critical development and fab start-up time, enabling them to more quickly bring new chip technologies to market.

      During fiscal 1999, Applied Materials purchased the remaining 50 percent of Applied Komatsu Technology, Inc. (AKT) that it did not previously own. AKT supplies equipment for fabricating flat panel displays (FPDs) that are used in notebook PCs, desktop monitors, TVs and other applications. Applied Materials also acquired Consilium, Inc. (Consilium), a provider of manufacturing facility (fab) management software to the semiconductor and FPD industries. For further details, see the section below entitled “Acquisitions.”

2


Products

Deposition

      Deposition is a fundamental step in fabricating an IC. During deposition, a layer of either electrically conductive (material used to carry current) or dielectric (material used as insulation between conductors) film is deposited or grown on a wafer. Applied Materials currently provides equipment to perform the three main types of deposition: chemical vapor deposition, physical vapor deposition and electroplating. Applied Materials also offers certain types of dielectric deposition processes using its RTP systems.

  Chemical Vapor Deposition (CVD)

      CVD is used by chipmakers to deposit dielectric films (insulators) and metal films (conductors) on a wafer. During the CVD process, gases that contain atoms of the material to be deposited react on the wafer surface, forming a thin film of solid material. The most common films deposited by CVD are silicon dioxide (often called oxide), silicon nitride, polysilicon and tungsten. Applied Materials offers the following products and technologies to address CVD steps typically used in chipmaking:

      Producer — The Producer CVD platform was launched in June 1998 and features Twin-Chamber™ modules that have two single-wafer process chambers per unit. Up to three Twin-Chamber modules can be mounted on each Producer platform, giving it a maximum capacity of six wafers at a time for high throughput manufacturing. Many of Applied Materials’ dielectric CVD film processes can be performed on this platform.

      Ultima HDP-CVDCentura — High-density plasma CVD (HDP-CVD) is used to fill very small, deep spaces with dielectric film. One of the processes offered on the system is fluorinated silicate glass (FSG), a material that has better insulating capability than conventional oxide materials. These types of films are referred to as low dielectric constant (“low k”) materials, an electrical characteristic whereby a reduced “k” value indicates greater insulating efficiency. These low k films absorb less electric charge and allow higher current to flow through more closely spaced metal wires, thus enabling denser and faster IC performance. In fiscal 1999, a number of major customers used FSG deposition for manufacturing, making it the first low k dielectric film to be used for production chipmaking.

      Other Low k Dielectric Films — Throughout fiscal 1999, Applied Materials accelerated its programs for developing dielectric films with lower “k” values to complement the trend of using copper material for even faster chip speeds. Applied Materials has introduced several low k dielectric materials using its established CVD technologies. Black Diamond™, a silicon-based low k dielectric film launched in early fiscal 1999, is designed for copper-based interconnect structures. A second low k dielectric introduced in July 1999, called BLOk™ (Barrier Low k), provides a low k solution for critical barrier layers in semiconductor devices, enabling the complete, multi-layer dielectric chip structure to benefit from low k technology.

      Epitaxial Deposition — Epitaxial silicon (epitaxy or epi), used in some semiconductor devices, is a layer of pure silicon grown in a uniform crystalline structure on the wafer to form a high quality base for the device circuitry. Applied Materials has manufactured epitaxial deposition systems for more than 30 years. In addition to silicon applications, Applied Materials offers an Epi Centura system for silicon-germanium (SiGe) epi process technology, which can reduce power usage and increase speed in certain kinds of advanced ICs.

      Polysilicon Deposition — Polysilicon is a type of silicon used to form portions of the transistor structure within the semiconductor device. Applied Materials’ Poly Centura is a single-wafer, multi-chamber system that deposits thin polysilicon films at high temperatures. A variant of the system, the Polycide Centura, combines chambers for polysilicon and tungsten silicide deposition on the Centura platform in an integrated process to create the polycide structures found in advanced semiconductors. The process control provided by the single-wafer approach is superior to batch processing, and is expected to become increasingly important as transistor structures shrink to smaller dimensions and as chipmakers move to larger wafer diameters.

      Applied Materials introduced a new high-temperature system to deposit silicon nitride film in July 1999 called the SiNgen™ Centura. This system operates at a lower deposition temperature than conventional methods to minimize the amount of time the wafer is exposed to high temperatures and to reduce particles while improving many areas of operating cost and productivity in critical transistor nitride layers for sub-0.18 micron devices.

3


  Physical Vapor Deposition (PVD)

      PVD, also called sputtering, is a physical process in which atoms of a heavy gas such as argon are accelerated at a target of pure metal. The metal atoms chip off, or sputter away, and are then deposited on the wafer. The Endura PVD platform offers a broad range of advanced deposition processes, including aluminum, titanium/titanium nitride (Ti/TiN), tantalum/tantalum nitride and copper (Cu). The Endura’s highly flexible, multi-chamber architecture allows the integration of multiple PVD processes or combinations of metal CVD and PVD technologies on the same system. The Endura’s PVD Ti technology can be coupled with either CVD TiN or PVD TiN processes to form the critical lining layers of interconnect structures. These structures are subsequently bulk-filled with tungsten, aluminum or other film materials.

      Copper-Based Devices — A majority of process steps used in chipmaking are performed to build the interconnect, a complex matrix of microscopic wires that carry electrical signals to connect the transistor and capacitor components of the IC. Chipmakers have traditionally used aluminum as the main conducting material for the interconnect circuitry. However, the trend of fabricating smaller and denser ICs requires a new material that can carry more current in a smaller area. After years of development, copper is beginning to be used as a new circuit material in semiconductors. Copper has lower resistance than aluminum and allows chipmakers to continue making faster and more powerful chips.

      Applied Materials is a leading supplier of systems for copper-based chipmaking, with systems that perform deposition of the barrier and seed layers (Endura Electra Cu™ Barrier & Seed), copper bulk-fill by electroplating (Electra Cu ECP), and copper planarization by CMP (Mirra® CMP). In addition, Applied Materials makes a full line of systems for depositing and etching the dielectric layers used in the copper interconnect, and for inspection and metrology.

      The Endura Electra Cu Barrier & Seed system, launched at the end of 1997, continues to be used by chipmakers for fabricating copper-based ICs. Using PVD technology, the system sequentially deposits the critical layers that prevent copper material from entering other areas of the device and prime the structure for subsequent deposition of bulk copper material by electroplating.

  Electroplating

      Electroplating is a process by which metal atoms are removed from a chemical fluid (the electrolyte) and deposited on the surface of an object immersed in the electrolyte. Electroplating is one of the newest technologies used in chipmaking. Its main application is to deposit copper in circuit wiring structures following the deposition of barrier and seed layers.

      Launched in April 1999, the Electra Cu ECP (ElectroChemical Plating) is Applied Materials’ first system to use electroplating technology. The Electra Cu ECP system offers the first completely automated ECP chemical management technology to the industry and provides process control and productivity not available in manually controlled systems. The Electra Cu ECP’s high-throughput system architecture features two twin-cell modules that allow the simultaneous processing of four wafers.

Etch

      Etching is used many times throughout the semiconductor manufacturing process to selectively remove material from the surface of a wafer. Before etching begins, the wafer is coated with a light-sensitive film called photoresist and exposed to a circuit pattern during a photolithography process step, which projects the circuit pattern onto the wafer. Etching removes material only from areas dictated by the photoresist pattern.

      Applied Materials offers systems for etching three basic types of materials: metal, silicon and dielectric. Applied Materials’ Dielectric Etch IPS™ Centura is used for etching the dielectric films used in many critical chip structures, especially in the formation of copper interconnects. Applied Materials also continued to extend an established etch technology, called reactive ion etch, into the 0.18 micron generation of semiconductors, in which it operates with high productivity and low cost of ownership in high-volume production environments. The Dielectric Etch Super e Centura was introduced in mid-1999 as an extension of Applied Materials’ MxP+ process chamber. Applied Materials’ Metal Etch and Silicon Etch DPS™ Plus Centura systems have been enhanced in fiscal 1999 with new features for greater productivity and increased technical capability in etching smaller metal and silicon structures on the chip.

Ion Implantation

      During ion implantation, silicon wafers are bombarded by a high-velocity beam of ions, called dopants, that penetrate (“implant”) the film surface to a desired depth. Implantation, which occurs in the transistor structure, changes the properties of the material in which the dopants are implanted to achieve a particular electrical performance.

4


      Fiscal 1999 saw an acceleration of an industry trend toward a type of implant technology called low-energy implantation, which enables the fabrication of smaller structures and thus contributes to faster transistor performance. Applied Materials’ 1996 introduction of an implant system called the xR LEAP (low-energy advanced processing) made commercial production of low-energy implantation possible, enabling the throughput necessary for manufacturing. Using an enhanced system called the Quantum™ LEAP, introduced in fiscal 1999, this technology has found growing acceptance by many chipmakers to create thinner transistor structures. The Quantum LEAP has also been optimized to be used with Applied Materials’ RTP technology for high technical performance and productivity.

Rapid Thermal Processing (RTP)

      RTP subjects a wafer to a very brief burst of intense heat that can take the wafer from room temperature to more than 1,000 degrees Celsius in less than 10 seconds. RTP is used mainly for modifying the properties of deposited films, using processes such as annealing, which activates dopant atoms in the device after implantation. Applied Materials’ RTP systems, which include the RTP Xeplus™ and Radiance™ Centura products, offer advances in temperature and ramp rate control as well as other features aimed at providing leading-edge capability for sub-0.18 micron generations. Recently, these single-wafer systems have also gained increasing acceptance for growing high quality oxide and oxynitride films, deposition steps that have traditionally been assigned to furnaces. This trend to single-wafer processing versus batch furnaces is expected to continue as the industry transitions to larger 300mm wafers.

Chemical Mechanical Polishing (CMP)

      CMP removes material from uneven topography on a wafer surface until a flat (planarized) surface is created. This allows subsequent photolithography patterning steps to take place with greater accuracy and enables film layers to build up with minimal height variations. CMP is performed primarily in the interconnect structure of the chip, where it is used multiple times, and is especially crucial to fabricating copper-based ICs to define the circuit wires that create the interconnect.

      Throughout fiscal 1999, the Mirra CMP system continued to expand its portfolio of technologies and added a polysilicon film process that is used in the transistor portion of the device. The requirement to use polysilicon CMP has been increasing due to the growing need to control the topography of the transistor and capacitor structures as chip dimensions shrink. During fiscal 1999, another process was introduced to polish copper that enabled Applied Materials to complete its set of systems for building copper interconnects.

      In June 1999, the Mirra CMP was enhanced with a cleaning capability that is integrated into the system to act in a fully automated CMP/cleaning mode. This system, called the Mirra Mesa™, can be used with all of the Mirra’s CMP process technologies.

      In October 1999, Applied Materials acquired Obsidian, Inc. (Obsidian), a developer of slurry-free (i.e., does not use wet abrasive material) CMP technology. This developing technology may be attractive to customers because it offers potentially lower operating costs and enhanced precision processing.

Metrology and Wafer/ Reticle Inspection

      Applied Materials produces several types of products that are used to inspect the wafer during various stages of the fabrication process. Applied Materials also supplies a system to photomask manufacturers that is used to detect defects on quartz plates, called reticles. These reticles (also called masks) are used by photolithography systems to transfer microscopic circuit designs onto wafers. The reticle must be defect-free with perfect image fidelity because any imperfection will be replicated on the wafer.

  Critical Dimension and Defect Review Scanning Electron Microscopes (CD-SEMs and DR-SEMs)

      Scanning electron microscopes (SEMs) use an electron beam to form images of microscopic features on a semiconductor wafer at extremely high magnification. Applied Materials provides chipmakers with operator-free automation, along with the high accuracy and sensitivity needed for measuring advanced-generation feature sizes. Introduced in February 1999, the new VeraSEM™ extends CD-SEM technology beyond critical dimension measurement to also enable the monitoring of multiple process parameters.

      DR-SEMs review defects on the wafer (i.e., particles, scratches or residues) that are first located by other detection systems and then classify the defects to identify their source. The high-throughput, fully automatic technology of Applied Materials’ SEMVision™ DR-SEM, launched in May 1998, marked a major advance over conventional, manually operated systems. With the SEMVision, customers are using DR-SEM technology as an integral part of their production lines, rather than using it off-line to occasionally

5


sample wafers. The enhanced SEMVision cX model introduced in fiscal 1999 added higher throughput, automatic material classification and color imaging to its list of features.

  Patterned Wafer Inspection

      Using laser-based technology, Applied Materials’ WF-736 system detects defects on patterned wafers (wafers with circuit images printed on them) as they move between processing steps. Defects may include particles, open circuit lines, shorts between lines or other problems. In fiscal 1999, Applied Materials introduced the enhanced WF-736 XS system, offering greater sensitivity for 0.15 micron and below devices.

  Reticle Inspection

      Introduced in early fiscal 1999, the ARIS-i™ system is an automated, ultraviolet wavelength-based advanced inspection system for reticles used in 0.18 micron and below generation devices. The system features enhanced image acquisition technology, data handling capabilities and sensitivity for the most advanced mask designs.

Flat Panel Displays (FPDs)

      The most advanced FPDs are manufactured using technologies similar to those for making semiconductors. One difference is the vastly larger area of the substrate (panel). Compared to today’s largest wafers (300mm diameter), the panels can be up to seven times larger. Applied Materials began development of FPD process technology in 1990, beginning with a CVD process. In September 1993, Applied Materials and Komatsu, Ltd. (Komatsu) formed a joint venture company, Applied Komatsu Technology, Inc. (AKT), to develop, market and manufacture FPD systems for the global market. In October 1999, Applied Materials acquired Komatsu’s 50 percent ownership interest in AKT, making AKT a wholly-owned subsidiary. For further details, see Note 4 of Notes to Consolidated Financial Statements contained in the Applied Materials 1999 Annual Report, which note is incorporated herein by reference.

Factory Management Software

      In December 1998, Applied Materials acquired Consilium, a provider of manufacturing execution systems software and services to the global semiconductor industry. Consilium’s software products, WorkStream™ and FAB300™, are designed for semiconductor and FPD manufacturers to control and optimize their facility operations.

Transition to 300mm Wafers

      Throughout its history, the semiconductor industry has migrated to increasingly larger wafer sizes, from one-inch wafers to the 200mm (eight inches) standard predominant today. To gain the economic advantages of a larger surface area, the industry has begun using 300mm (12 inches) wafers as the next wafer size. The surface area of a 300mm wafer is more than two times that of a 200mm wafer. Entirely new hardware is needed to process 300mm wafers, although some recently introduced systems, called bridge tools, have dual 200mm-300mm capabilities.

      Applied Materials has been actively developing a complete line of 300mm systems in its core process technologies, covering more than 60 applications. Applied Materials has shipped several 300mm systems to customers and to industry consortia, including Semiconductor 300 in Dresden, Germany. All of Applied Materials’ mainstream process technologies are being readied for 300mm wafer sizes. To meet the increased interest in 300mm process technology, Applied Materials significantly expanded its demonstration and development capability for these systems in fiscal 1999.

Customer Service and Support

      Applied Materials’ customer service organization plays a unique and critical role in Applied Materials’ ability to satisfy its customers’ production requirements. Over 2,500 highly trained customer engineers and process support engineers are deployed in more than a dozen countries. These engineers are usually located at or near the customers’ fab sites and service more than 11,000 Applied Materials’ systems.

      In fiscal 1999, Applied Materials introduced a new line of service products, called Total Service Solutions™ (TSS), which offers a novel approach to maintaining and servicing Applied Materials’ equipment in the fab. In one part of the TSS program, called Total Parts Management™ (TPM), Applied Materials is responsible for the spare parts used in its equipment at a customer’s fab site. Under TPM, chipmakers no longer need to own or manage Applied Materials’ inventory. A second product, called Total Support Package™

6


(TSP), is a comprehensive equipment service solution that includes parts inventory management and maintenance, with operating cost reduction and system performance improvement guarantees for Applied Materials’ equipment.

Backlog

      Applied Materials’ backlog increased from $917 million at October 25, 1998 to $1.7 billion at October 31, 1999. Applied Materials schedules production of its systems based on order backlog and customer commitments. Backlog includes only orders for which written authorizations have been accepted and shipment dates within 12 months have been assigned. However, customers may delay delivery of products or cancel orders suddenly and without sufficient notice, subject to cancellation penalties. Due to possible customer changes in delivery schedules and cancellations of orders, Applied Materials’ backlog at any particular date is not necessarily indicative of actual sales for any succeeding period. Delays in delivery schedules and/or a reduction of backlog during any particular period could have, and in the past have had, a material adverse effect on Applied Materials’ business and results of operations.

Manufacturing, Raw Materials and Supplies

      Applied Materials’ manufacturing activities consist primarily of assembling various commercial and proprietary components into finished systems, principally in the United States, with additional operations in Taiwan, Japan, Israel and the United Kingdom. Production requires some raw materials and a wide variety of mechanical and electrical components that are manufactured to Applied Materials’ specifications. Applied Materials uses numerous vendors to supply parts, components and subassemblies (collectively, “parts”) for the manufacture and support of its products. Although Applied Materials makes reasonable efforts to ensure that parts are available from multiple suppliers, this is not always possible; accordingly, some key parts may be obtained only from a single supplier or a limited group of suppliers. Applied Materials has sought, and will continue to seek, to minimize the risk of production and service interruptions and/or shortages of key parts by: 1) selecting and qualifying alternative suppliers for key parts; 2) monitoring the financial stability of key suppliers; and 3) maintaining appropriate inventories of key parts. There can be no assurance that Applied Materials’ results of operations will not be materially and adversely affected if, in the future, Applied Materials does not receive in a timely and cost-effective manner a sufficient quantity of parts to meet its production requirements.

Research, Development and Engineering (RD&E)

      Applied Materials’ long-term growth strategy requires continued development of new semiconductor and flat panel display manufacturing technology. Applied Materials’ significant investment in RD&E has generally enabled it to deliver new products and technologies before the emergence of strong demand, thus allowing customers to incorporate these products into their manufacturing plans at an early stage in the technology selection cycle. Applied Materials works closely with its global customers to design systems that meet their planned technical and production requirements. Engineering organizations are located in the United States, the United Kingdom, Israel and Japan, with process support and customer demonstration laboratories in the United States, the United Kingdom, Israel, Japan, Korea and Taiwan.

      In fiscal 1999, Applied Materials invested $682 million, or 14 percent of net sales, in RD&E for product development and engineering programs to improve or sustain existing product lines. During fiscal 1997 and 1998, RD&E expenses were $568 million (14 percent of net sales) and $644 million (16 percent of net sales), respectively. Applied Materials has spent an average of 13 percent of net sales on RD&E over the last five years. In addition to RD&E for specific product technologies, Applied Materials maintains ongoing programs in software, automation control systems, materials research, microcontamination and environmental control that have applications to its products. Key activities during fiscal 1999 involved development of wafer fabrication equipment for smaller feature sizes, copper-based devices and 300mm wafers.

Marketing and Sales

      Because of the highly technical nature of its products, Applied Materials markets its products worldwide through a direct sales force, with sales and service offices in the United States, Taiwan, Japan, Europe, Korea, and Asia-Pacific. For the fiscal year ended October 31, 1999, net sales to customers in North America (primarily the United States), Taiwan, Japan, Europe, Korea, and Asia-Pacific were 34 percent, 20 percent, 17 percent, 16 percent, 7 percent and 6 percent, respectively, of Applied Materials’ total net sales. Applied Materials’ business is usually not seasonal in nature, but it is cyclical based on the capital equipment investment patterns of major

7


semiconductor manufacturers. These expenditure patterns are based on many factors, including anticipated market demand for integrated circuits, the development of new technologies and global and regional economic conditions.

      Applied Materials has operations and sites located throughout the world to support its sales and services to the global semiconductor industry. Managing global operations and sites located throughout the world presents challenges associated with, among other things, cultural diversities and organizational alignment. Moreover, each region in the global semiconductor equipment market exhibits unique characteristics that can cause, and in the past have caused, capital equipment investment patterns to vary significantly from period to period. Periodic economic downturns, trade balance issues, political instability and fluctuations in interest and foreign currency exchange rates are among the many risks associated with operating a global business that could materially and adversely affect demand for Applied Materials’ products (including systems and related services).

      Information on net sales to unaffiliated customers and long-lived assets attributable to Applied Materials’ geographic regions is included in Note 12 of Notes to Consolidated Financial Statements contained in the Applied Materials 1999 Annual Report, which note is incorporated herein by reference. For fiscal 1997 and 1998, no individual customer accounted for more than 10 percent of Applied Materials’ net sales. For fiscal 1999, Intel Corporation accounted for more than 10 percent of Applied Materials’ net sales.

Competition

      The global semiconductor equipment industry is highly competitive and is characterized by increasingly rapid technological advancements and demanding worldwide service requirements. Applied Materials’ ability to compete depends on its ability to continually improve its products, processes and services, as well as its ability to develop new products that meet constantly evolving customer requirements. Significant competitive factors for succeeding in the semiconductor manufacturing equipment market include the equipment’s technical capability, productivity and cost-effectiveness, overall reliability, ease of use and maintenance, contamination and defect control, and the level of technical service and support provided by the vendor. The importance of each of these factors varies depending on the specific customer’s needs and criteria, including considerations such as the customer’s process application, product requirements, timing of the purchase and particular circumstances of the purchasing decision. The pace of technological change is rapid, with customers continually moving to smaller critical dimensions and larger wafer sizes and adopting new materials for use in semiconductor manufacturing. Sometimes, existing technology can be adapted to the new requirements; however, the new requirements sometimes create the need for an entirely new technical approach. The rapid pace of technological change continually creates new opportunities for existing competitors and start-ups, and can quickly diminish the value of existing technologies.

      Substantial competition exists for each of Applied Materials’ products. Competitors range from small, agile companies that compete with a single innovative product, to companies with a large and diverse line of semiconductor processing products, and to large multinationals. Many of Applied Materials’ competitors compete with Applied Materials for sales of more than one product. For example, one competitor sells CVD, electroplating and PVD equipment, while another competitor sells etch and CMP equipment. Competitors in a given technology tend to have different degrees of market presence in the various regional markets. Management believes that Applied Materials’ competitive position is based on the ability of its products and services to continue to address customer requirements. Success for Applied Materials will require a continued high level of investment in research, development and engineering and in sales and marketing. Management believes that Applied Materials is a strong competitor with respect to its products and services. However, new products, pricing pressures, rapid changes in technology and other competitive actions from both new and existing competitors could materially and adversely affect Applied Materials’ market position.

Acquisitions

      On December 11, 1998, Applied Materials acquired Consilium, a supplier of integrated semiconductor and electronics manufacturing execution systems and services, in a stock-for-stock merger accounted for as a pooling of interests. Due to the immateriality of Consilium’s financial position and results of operations in relation to those of Applied Materials, Applied Materials’ prior period financial statements have not been restated. Applied Materials issued 1.7 million shares of its common stock to complete this transaction, and recorded $5 million of transaction costs as a one-time operating expense. The Consilium acquisition did not have a material effect on Applied Materials’ financial condition or results of operations for fiscal 1999. For further details, see Note 14 of Notes to Consolidated Financial Statements contained in the Applied Materials 1999 Annual Report, which note is incorporated herein by reference.

8


      On October 5, 1999, Applied Materials acquired Obsidian, a developer of fixed-abrasive chemical mechanical polishing solutions for the semiconductor industry, by issuing shares of common stock having a market value of $150 million. The Obsidian acquisition was accounted for as a purchase business combination. The purchase price in excess of the fair value of Obsidian’s net tangible assets was allocated to intangible assets and in-process research and development expense. Except for in-process research and development expense of $35 million, the Obsidian acquisition did not have a material effect on Applied Materials’ financial condition or results of operations. For further details, see Note 14 of Notes to Consolidated Financial Statements contained in the Applied Materials 1999 Annual Report, which note is incorporated herein by reference.

      In September 1993, Applied Materials and Komatsu formed AKT, a joint venture corporation that developed, manufactured, marketed and serviced thin film transistor manufacturing systems for FPDs. Because Applied Materials and Komatsu each owned 50 percent of the AKT joint venture, Applied Materials accounted for its interest in the joint venture using the equity method. During the fourth fiscal quarter of 1998, Applied Materials decided to discontinue the operations of AKT over a 12-month period. As a result of this decision, Applied Materials recorded a $40 million provision for discontinued operations, consisting of $19 million primarily for immediate headcount reductions and lease terminations, and $21 million for net expenses and other obligations expected to be incurred during, or at completion of, the 12-month wind-down period. In addition to the above amounts, Applied Materials also recorded its $18 million share of AKT’s operating losses as a component of discontinued operations. In late fiscal 1999, an overall improvement in demand for FPDs enhanced AKT’s financial condition and improved its business outlook. This change caused Applied Materials to reassess its decision to discontinue AKT’s operations. Based on this reassessment, Applied Materials reversed its decision to discontinue the operations of AKT and acquired Komatsu’s 50 percent interest in AKT for $87 million in cash on October 29, 1999. As a result, the $21 million provision established in fiscal 1998 for net expenses and other obligations expected to be incurred during the wind-down of AKT’s operations was reversed into income in fiscal 1999, and all prior period amounts relating to AKT’s continuing operations were reclassified from discontinued operations to continuing operations. These reclassifications had no effect on Applied Materials’ net income for any period affected, and were recorded in accordance with Emerging Issues Task Force Issue No. 90-16, “Accounting for Discontinued Operations Subsequently Retained.” The acquisition of AKT was accounted for as a purchase business combination. The purchase price in excess of the fair value of AKT’s net tangible assets was allocated to intangible assets and in-process research and development expense. For further details, see Note 4 of Notes to Consolidated Financial Statements contained in the Applied Materials 1999 Annual Report, which note is incorporated herein by reference.

Subsequent Events

      On January 12, 2000, Applied Materials announced that it entered into an agreement to acquire Etec Systems, Inc. (Etec), a supplier of mask patterning generating equipment for the worldwide semiconductor and electronics industries, in a stock-for-stock merger that will be accounted for as a pooling of interests. The closing of the transaction is subject to approval from Etec’s shareholders and clearance by regulatory authorities. Each share of Etec’s stock will be exchanged for 0.649 of a share of Applied Materials’ common stock. Applied Materials expects to issue approximately 14 million shares of its common stock to complete this transaction.

Patents and Licenses

      Management believes that Applied Materials’ competitive position is significantly dependent upon skills in engineering, production and marketing, rather than its patent position. However, protection of Applied Materials’ technology assets by obtaining and enforcing patents is important. Therefore, Applied Materials has an active program to file patent applications in the United States and other countries for inventions that Applied Materials considers significant. Applied Materials has a number of patents in the United States and other countries and additional applications are pending for new developments in its equipment and processes. In addition to patents, Applied Materials also possesses other proprietary intellectual property, including trademarks, know-how, trade secrets and copyrights.

      Applied Materials enters into patent and technology licensing agreements with other companies when management determines that it is in Applied Materials’ best interest to do so. Applied Materials pays royalties under existing patent license agreements for the use, in several of its products, of certain patented technologies that are licensed to Applied Materials for the life of the patents. Applied Materials also receives royalties from licenses granted to third parties. Royalties received from third parties have not been, and are not expected to be, material.

9


      In the normal course of business, Applied Materials from time to time receives and makes inquiries regarding possible patent infringement. In dealing with such inquiries, it may become necessary or useful for Applied Materials to obtain or grant licenses or other rights. However, there can be no assurance that such licenses or rights will be available to Applied Materials on commercially reasonable terms. Although there can be no assurance about the outcome of patent infringement inquiries, Applied Materials believes it is unlikely that their resolution will have a material adverse effect on its financial condition or results of operations.

Environmental Matters

      Two of Applied Materials’ locations have been designated as Superfund sites by the United States Environmental Protection Agency since 1987. Applied Materials has been designated a “Responsible Party” by the U.S. Environmental Protection Agency with respect to one site and a “Potentially Responsible Party” with respect to the other site. However, neither compliance with federal, state and local provisions regulating discharge of materials into the environment, nor remedial agreements or other actions relating to the environment, has had, or is expected to have, a material effect on Applied Materials’ capital expenditures, financial condition, results of operations or competitive position.

Employees

      At October 31, 1999, Applied Materials employed 12,755 regular employees. In the high-technology industry, competition for highly-skilled employees is intense. Applied Materials believes that its future success is highly dependent upon on its continued ability to attract and retain qualified employees. There can be no assurance that Applied Materials will be able to attract, hire, assimilate and retain a sufficient number of qualified people. None of Applied Materials’ employees are represented by a trade union, and management considers its relations with employees to be good.

10


Item 2:  Properties

      Information concerning Applied Materials’ principal properties at October 31, 1999 is set forth below:

                         
Square
Location Type Principal Use Footage Ownership





Santa Clara, CA Office, plant & Headquarters, Marketing, 1,047,000 owned
warehouse Manufacturing, Distribution, Research and Engineering 2,928,000 (1) leased
Austin, TX Office, plant & Manufacturing 1,154,000 owned
warehouse 228,000 leased
Horsham, England Office, plant & warehouse Manufacturing, Research and Engineering 122,000 leased
Narita, Japan Office, plant & warehouse Manufacturing, Research and Engineering 222,000 (2) owned
Chunan, Korea Office, plant & warehouse Research and Engineering 107,000 owned
Hsinchu, Taiwan Office, plant & Manufacturing, Research and 89,000 owned
warehouse Engineering 114,000 leased
Rehovot, Israel Office, plant & warehouse Manufacturing, Research and Engineering 271,000 owned
Nes Ziona, Israel Office, plant & warehouse Manufacturing, Research and Engineering 72,000 leased
Yavne, Israel Office, plant & warehouse Manufacturing, Research and Engineering 68,000 leased

(1)  Includes approximately 941,000 square feet that is either currently being subleased or is being marketed for sublease.
 
(2)  Subject to loans of $41 million, secured by property and equipment having an approximate net book value of $64 million at October 31, 1999.

      Applied Materials also leases office space for sales and service offices in 70 locations throughout the world: 22 in North America (primarily the United States), 2 in Taiwan, 23 in Japan, 12 in Europe, 6 in Korea and 5 in Asia-Pacific.

      Applied Materials currently owns 167,000 square feet of manufacturing and other operating facilities in California that have not yet been completed and placed in service. An 80,000 square foot owned facility is currently under construction in Taiwan.

      Applied Materials also owns 121 acres of buildable land in Austin, Texas, 43 acres of buildable land in Santa Clara, California and 9 acres of buildable land in Narita, Japan. The Austin, Santa Clara and Narita land can accommodate approximately 1,845,000, 1,247,000 and 766,000 square feet, respectively, of additional building space to help satisfy Applied Materials’ current and future needs.

      Applied Materials considers the above facilities suitable and adequate to meet its requirements.

11


Item 3:  Legal Proceedings

AST and AG

      In April 1997, Applied Materials initiated separate lawsuits in the Northern District of California against AST Electronik GmbH and AST Electronik USA, Inc. (collectively “AST”) and AG Associates, Inc. (AG), alleging infringement of certain patents concerning rapid thermal processing technology (case no. C-97-20375-RMW). In October 1997, AST and AG each filed counterclaims alleging infringement by Applied Materials of patents concerning related technology. In addition, on August 5, 1998, AG filed a lawsuit in California against Applied Materials alleging infringement of another patent relating to rapid thermal processing technology (case no. C-98-20833-RMW), and, on August 13, 1998, AG filed a lawsuit in Delaware against Applied Materials alleging infringement of two other patents concerning related technology. The Delaware case was subsequently transferred to California (case no. C-99-20432). In February 1999, Applied Materials and AST resolved their dispute on mutually acceptable terms and conditions. In addition, in December 1999, all disputes between Applied Materials and AG were resolved on mutually acceptable terms and conditions, and each of the three cases has been dismissed.

KLA

      As a result of Applied Materials’ acquisition of Orbot Instruments, Ltd. (Orbot), Applied Materials is involved in a lawsuit captioned KLA Instruments Corporation (KLA) v. Orbot (case no. C-93-20886-JW) in the United States District Court for the Northern District of California. KLA alleges that Orbot infringes a patent regarding equipment for the inspection of masks and reticles, and seeks an injunction, damages and such other relief as the Court may find appropriate. There has been limited discovery, but no trial date has been set. Management believes it has meritorious defenses and intends to pursue them vigorously.

Varian and Novellus

      On June 13, 1997, Applied Materials filed a lawsuit against Varian Associates, Inc. (Varian) captioned Applied Materials, Inc. v. Varian Associates, Inc. (case no. C-97-20523-RMW), alleging infringement of several of Applied Materials’ patents concerning physical vapor deposition (PVD) technology. The complaint was later amended on July 7, 1997 to include Novellus Systems, Inc. (Novellus) as a defendant as a result of Novellus’ acquisition of Varian’s thin film systems PVD business. Applied Materials seeks damages for past infringement, a permanent injunction, treble damages for willful infringement, pre-judgment interest and attorneys’ fees. Varian answered the complaint by denying all allegations, counterclaiming for declaratory judgment of invalidity and unenforceability and alleging conduct by Applied Materials in violation of antitrust laws. On June 23, 1997, Novellus filed a separate lawsuit against Applied Materials captioned Novellus Systems, Inc. v. Applied Materials, Inc. (case no. C-97-20551-EAI), alleging infringement by Applied Materials of three patents concerning PVD technology that were formerly owned by Varian. On July 8, 1997, Varian filed a separate lawsuit against Applied Materials captioned Varian Associates, Inc. v. Applied Materials, Inc. (case no. C-97-20597-PVT) alleging a broad range of conduct in violation of federal antitrust laws and state unfair competition and business practice laws. On July 16, 1999, Varian was granted permission to file a First Amended Complaint in that action. On November 8, 1999, the Court granted in part Applied Materials’ partial motion to dismiss the First Amended Complaint. On December 10, 1999, Varian filed its Second Amended Complaint and Applied Materials has answered. Discovery has commenced in these actions. The Court has scheduled trial of all patent claims for April 2001. No other trial dates have been set. Management believes it has meritorious claims and defenses and intends to pursue them vigorously.

OKI

      In November 1997, OKI Electric Industry, Co., Ltd. (OKI) filed suit against Applied Materials’ subsidiary, Applied Materials Japan (AMJ), in Tokyo District Court in Japan, alleging that AMJ is obligated to indemnify OKI for patent license payments OKI made to a third party. Several hearings have been held, but no trial date has been set. Applied Materials does not expect the final case resolution to have a material effect on its financial condition or results of operations.

      Applied Materials is subject to various other legal proceedings and claims, either asserted or unasserted, that arise in the ordinary course of business. Although the outcome of these claims cannot be predicted with certainty, management does not believe that any of these other legal matters will have a material adverse effect on Applied Materials’ financial condition or results of operations.

 
Item 4:  Submission of Matters to a Vote of Security Holders in Fourth Fiscal Quarter of 1999

      None.

12


EXECUTIVE OFFICERS OF THE REGISTRANT

      The following table and notes thereto identify and set forth information about Applied Materials’ five executive officers:

     
Name of Individual Capacities in which Served


James C. Morgan(1) Chairman of the Board of Directors and Chief Executive Officer
Dan Maydan(2) Director and President
Joseph R. Bronson(3) Senior Vice President, Office of the President, Chief Financial Officer and Chief Administrative Officer
Sasson Somekh(4) Senior Vice President, Office of the President
David N.K. Wang(5) Senior Vice President, Office of the President

(1)  Mr. Morgan, age 61, has been Chief Executive Officer since 1977 and Chairman of the Board of Directors since 1987. Mr. Morgan also served as President of Applied Materials from 1976 to 1987.
 
(2)  Dr. Maydan, age 64, was appointed President of Applied Materials in December 1993 and has been a member of the Board of Directors since 1992. Dr. Maydan served as Executive Vice President from 1990 to December 1993. Prior to that, Dr. Maydan had been Group Vice President since February 1989. Dr. Maydan joined Applied Materials in 1980 as a Director of Technology.
 
(3)  Mr. Bronson, age 51, was appointed Senior Vice President, Office of the President, Chief Financial Officer and Chief Administrative Officer in January 1998. Mr. Bronson served as Group Vice President from April 1994 to January 1998. Prior to that, Mr. Bronson had been Vice President since November 1990. Mr. Bronson joined Applied Materials in September 1984 as Corporate Controller.
 
(4)  Dr. Somekh, age 53, was appointed to the Office of the President in January 1998, and was appointed Senior Vice President of Applied Materials in December 1993. Dr. Somekh served as Group Vice President from 1990 to 1993. Prior to that, Dr. Somekh had been a divisional Vice President. Dr. Somekh joined Applied Materials in 1980 as a Project Manager.
 
(5)  Dr. Wang, age 53, was appointed to the Office of the President in January 1998, and was appointed Senior Vice President of Applied Materials in December 1993. Dr. Wang served as Group Vice President from 1990 to 1993. Prior to that, Dr.  Wang had been a divisional Vice President. Dr. Wang joined Applied Materials in 1980 as a Manager, Process Engineering and Applications.

PART II

Item 5:  Market for Registrant’s Common Equity and Related Stockholder Matters

      “Stock Price History” on page 54 of the Applied Materials 1999 Annual Report is incorporated herein by reference.

      Applied Materials’ common stock is traded on the Nasdaq over-the-counter market. As of January 2, 2000, there were approximately 5,347 holders of record of the common stock.

      To date, Applied Materials has not declared or paid cash dividends to its stockholders. Applied Materials has no plans to declare and pay cash dividends in the near future.

Item 6:  Selected Consolidated Financial Data

      The selected consolidated financial data for the five years ended October 31, 1999, which appears on page 21 of the Applied Materials 1999 Annual Report, is incorporated herein by reference.

13


 
Item 7:  Management’s Discussion and Analysis of Financial Condition and Results of Operations

      “Management’s Discussion and Analysis” on pages 22 through 32 of the Applied Materials 1999 Annual Report is incorporated herein by reference.

Item 7a:  Quantitative and Qualitative Disclosures about Market Risk

      “Market Risk Disclosure” on pages 31 through 32 of the Applied Materials 1999 Annual Report is incorporated herein by reference.

Item 8:  Financial Statements and Supplementary Data

      The consolidated financial statements, together with the report thereon of PricewaterhouseCoopers LLP dated November 17, 1999, and appearing on pages 33 through 52 and page 54 of the Applied Materials 1999 Annual Report, are incorporated herein by reference.

Item 9:  Changes in and Disagreements with Accountants on Accounting and Financial Disclosure

      None.

PART III

      Pursuant to Paragraph G(3) of the General Instructions to Form 10-K, portions of the information required by Part III of Form 10-K are incorporated by reference from Applied Materials’ Proxy Statement to be filed with the Commission in connection with the 2000 Annual Meeting of Stockholders (“the Proxy Statement”).

Item 10:  Directors and Executive Officers of the Registrant

      (a)  Information concerning directors of Applied Materials appears in Applied Materials’ Proxy Statement, under Item 1 — “Election of Directors.” This portion of the Proxy Statement is incorporated herein by reference.

      (b)  For information with respect to Executive Officers, see Part I of this Annual Report on Form 10-K.

Item 11:  Executive Compensation

      Information concerning executive compensation appears in Applied Materials’ Proxy Statement, under Item 1 — “Election of Directors.” This portion of the Proxy Statement is incorporated herein by reference.

Item 12:  Security Ownership of Certain Beneficial Owners and Management

      Information concerning the security ownership of certain beneficial owners and management appears in Applied Materials’ Proxy Statement, under Item 1 — “Election of Directors.” This portion of the Proxy Statement is incorporated herein by reference.

Item 13:  Certain Relationships and Related Transactions

      Information concerning certain relationships and related transactions appears in Applied Materials’ Proxy Statement, under Item 1 — “Election of Directors.” This portion of the Proxy Statement is incorporated herein by reference.

14


PART IV

 
Item 14:  Exhibits, Financial Statement Schedules, and Reports on Form 8-K

      (a)  The following documents are filed as part of this Annual Report on Form 10-K:

        (1)  Financial Statements:

         
Annual Report
Page Number*

Consolidated Statements of Operations for each of the three years in the period ended October 31, 1999 33
Consolidated Balance Sheets at October 25, 1998 and October 31, 1999 34
Consolidated Statements of Stockholders’ Equity for each of the three years in the period ended October 31, 1999 35
Consolidated Statements of Cash Flows for each of the three years in the period ended October 31, 1999 36
Notes to Consolidated Financial Statements 37-52
Report of Independent Accountants 54

Incorporated herein by reference from the indicated pages of the Applied Materials 1999 Annual Report. With the exception of the pages listed above and the portion of such report referred to in items 1, 5, 6, 7, 7a and 8 of this Annual Report on Form 10-K, the Applied Materials 1999 Annual Report is not to be deemed filed as part of this report.

        (2)  Financial Statement Schedule:

         
Form 10-K
Page Number

Report of Independent Accountants on Financial Statement Schedule 21
Schedule II — Valuation and Qualifying Accounts 22

        (3)  Exhibits:
 
        The exhibits listed in the accompanying index to exhibits are filed or incorporated by reference as part of this Annual Report on Form 10-K.

      (b)  Applied Materials did not file a report on Form 8-K during its fourth fiscal quarter of 1999.

      Schedules not listed above have been omitted because they are not applicable or the required information is included in the consolidated financial statements or notes thereto.

15


INDEX TO EXHIBITS

      These Exhibits are numbered in accordance with the Exhibit Table of Item 601 of Regulation S-K:

         
Exhibit No. Description


 2.1 Agreement and Plan of Merger, by and among Applied Materials, Inc., Orion Corp. I, and Opal, Inc. dated as of November 24, 1996, previously filed with Applied Materials’ Annual Report on Form 10-K for the year ended October 27, 1996, and incorporated herein by reference.
 2.2 Stock Purchase Agreement dated as of November 24, 1996 by and among Applied Materials, Inc., Orbot Instruments, Ltd. and the Stockholders of Orbot Instruments, Ltd., previously filed with Applied Materials’ Annual Report on Form 10-K for the year ended October 27, 1996, and incorporated herein by reference.
 2.3 Agreement and Plan of Merger And Reorganization between Applied Materials, Inc. and Consilium, Inc., previously filed with Applied Materials’ Form S-4A dated November 6, 1998, and incorporated herein by reference.
 2.4 Agreement and Plan of Reorganization, dated as of January  12, 2000, by and among Applied Materials, Inc., Boston Acquisition Sub Inc. and Etec Systems, Inc., previously filed with Applied Materials’ Schedule 13D on January 24, 2000, and incorporated herein by reference.
 3(i) Certificate of Incorporation of Applied Materials, Inc., a Delaware corporation, as amended to March 18, 1996, previously filed with Applied Materials’ Annual Report on Form 10-K for the year ended October 27, 1996, and incorporated herein by reference.
 3(i)(a) Amendment to Articles of Incorporation dated March 27, 1998, previously filed with Applied Materials’ Form 10-Q for the quarter ended July 26, 1998, and incorporated herein by reference.
 3(i)(b) Articles of Incorporation (as amended to March 27, 1998), previously filed with Applied Materials’ Form 10-Q for the quarter ended July 26, 1998, and incorporated herein by reference.
 3(i)(c) Certificate of Designation, Preferences and Rights of the Terms of the Series A Junior Participating Preferred Stock of Applied Materials, Inc., dated as of July 7, 1999, previously filed with Applied Materials’ Form 10-Q for the quarter ended August 1, 1999, and incorporated herein by reference.
 3(ii) Bylaws of Applied Materials, Inc., as amended and restated through December 7, 1999.
 4.1 Rights Agreement, dated as of June 14, 1989, between Applied Materials, Inc. and Bank of America NT&SA, as Rights Agent, including Form of Rights Certificate and Form of Summary of Rights to Purchase Common Stock, previously filed with Applied Materials’ report on Form 8-K dated June 14, 1989, and incorporated herein by reference.
 4.2 Form of Indenture (including form of debt security) dated as of August 24, 1994 between Applied Materials, Inc. and Harris Trust Company of California, as Trustee, previously filed with Applied Materials’ Form 8-K on August 17, 1994, and incorporated herein by reference.
 4.3 Rights Agreement, dated as of July 7, 1999, between Applied Materials, Inc. and Harris Trust and Savings Bank, as Rights Agent, previously filed as Exhibit 1 with Applied Materials’ Registration Statement on Form 8-A dated July  9, 1999, and incorporated herein by reference.
10.1* The 1976 Management Stock Option Plan, as amended to October 5, 1993, previously filed with Applied Materials’ Form 10-K for fiscal year 1993, and incorporated herein by reference.
10.2* Applied Materials, Inc., Supplemental Income Plan, as amended, including Participation Agreements with James C. Morgan, Walter Benzing, and Robert Graham, previously filed with Applied Materials’ Form 10-K for fiscal year 1981, and incorporated herein by reference.

16


         
Exhibit No. Description


10.3* Amendment to Supplemental Income Plan, dated July 20, 1984, previously filed with Applied Materials’ Form 10-K for fiscal year 1984, and incorporated herein by reference.
10.4* The Applied Materials Employee Financial Assistance Plan, previously filed with Applied Materials’ definitive Proxy Statement in connection with the Annual Meeting of Shareholders held on March 5, 1981, and incorporated herein by reference.
10.5* The 1985 Stock Option Plan for Non-Employee Directors, previously filed with Applied Materials’ Form 10-K for fiscal year 1985, and incorporated herein by reference.
10.6* Amendment 1 to the 1985 Stock Option Plan for Non-Employee Directors dated June 14, 1989, previously filed with Applied Materials’ Form 10-K for fiscal year 1989, and incorporated herein by reference.
10.7* Applied Materials, Inc. Supplemental Income Plan as amended to December 15, 1988, including the Participation Agreement with James C. Morgan, previously filed with Applied Materials’ Form 10-K for fiscal year 1988, and incorporated herein by reference.
10.8 License Agreement dated January 1, 1992 between Applied Materials and Varian Associates, Inc., previously filed with Applied Materials’ Form 10-K for fiscal year 1992, and incorporated herein by reference.
10.9* Amendment dated December 9, 1992 to Applied Materials, Inc. Supplemental Income Plan dated June 4, 1981 (as amended to December 15, 1988), previously filed with Applied Materials’ Form 10-K for fiscal year 1993, and incorporated herein by reference.
10.10* The Applied Materials, Inc. Executive Deferred Compensation Plan dated July 1, 1993 and as amended on September 2, 1993, previously filed with Applied Materials’ Form 10-Q for the quarter ended August 1, 1993, and incorporated herein by reference.
10.11 Joint Venture Agreement between Applied Materials, Inc. and Komatsu, Ltd. dated September 14, 1993 and exhibits thereto, previously filed with Applied Materials’ Form 10-K for fiscal year 1993, and incorporated herein by reference. (Confidential treatment has been granted for certain portions of the agreement).
10.12* Amendment No. 2 to Applied Materials, Inc. 1985 Stock Option Plan for Non-Employee Directors, dated September 10, 1992, previously filed with Applied Materials’ Form 10-K for fiscal year 1993, and incorporated herein by reference.
10.13* Amendment No. 3 to Applied Materials, Inc. 1985 Stock Option Plan for Non-Employee Directors, dated October 5, 1993, previously filed with Applied Materials’ Form 10-K for fiscal year 1993, and incorporated herein by reference.
10.14* Amendment No. 2 to the Applied Materials, Inc. Executive Deferred Compensation Plan, dated May 9, 1994, previously filed with Applied Materials’ Form 10-Q for the quarter ended May 1, 1994, and incorporated herein by reference.
10.15* Amendment No. 4 to Applied Materials, Inc. 1985 Stock Option Plan for Non-Employee Directors, dated December 8, 1993, previously filed with Applied Materials’ Form 10-Q for the quarter ended May 1, 1994, and incorporated herein by reference.
10.16* Applied Komatsu Technology, Inc. 1994 Executive Incentive Stock Purchase Plan, together with forms of Promissory Note, 1994 Executive Incentive Stock Purchase Agreement, and Loan and Security Agreement, previously filed with Applied Materials’ Form 10-Q for the quarter ended July 31, 1994, and incorporated herein by reference.
10.17* The Applied Materials, Inc. 1995 Equity Incentive Plan, dated April 5, 1995, previously filed with Applied Materials’ Form 10-Q for the quarter ended April 30, 1995, and incorporated herein by reference.
10.18* The Applied Materials, Inc. Senior Executive Bonus Plan, dated September 23, 1994, previously filed with Applied Materials’ Form 10-Q for the quarter ended April 30, 1995, and incorporated herein by reference.
10.19* The Applied Materials, Inc. Executive Deferred Compensation Plan, as amended and restated on April 1, 1995, previously filed with Applied Materials’ Form 10-Q for the quarter ended April 30, 1995, and incorporated herein by reference.
10.20 Applied Materials, Inc. Medium-Term Notes, Series A Distribution Agreement, dated August 24, 1995, previously filed with Applied Materials’ Form 10-K for fiscal year 1995, and incorporated herein by reference.

17


         
Exhibit No. Description


10.21* Resolution pertaining to the Amendment of the Applied Materials, Inc. 1995 Equity Incentive Plan, adopted by the Stock Option and Compensation Committee of the Board of Directors of Applied Materials on December 12, 1996, previously filed with Applied Materials’ Form 10-Q for the quarter ended April 27, 1997, and incorporated herein by reference.
10.22 Participation Agreement dated as of April 30, 1997 among Applied Materials, Inc. (as Lessee and Construction Agent), Credit Suisse Leasing 92A, L.P., (as Lessor and Borrower), Greenwich Funding Corporation (as CP Lender), The Persons Named on Schedule I (as Eurodollar Lenders) and Credit Suisse First Boston (acting through its New York Branch, as Agent), previously filed with Applied Materials’ Form 10-Q for the quarter ended April 27, 1997, and incorporated herein by reference.
10.23 Appendix 1 to Participation Agreement, Master Lease Agreement and Loan Agreement, dated as of April 30, 1997 (Definitions and Interpretation) for Applied Materials, Inc., previously filed with Applied Materials’ Form 10-Q for the quarter ended April 27, 1997, and incorporated herein by reference.
10.24 Loan Agreement dated as of April 30, 1997 among Credit Suisse Leasing 92A, L.P. (as Borrower), Greenwich Funding Corporation (as CP Lender), The Persons Named on Schedule I (as Eurodollar Lenders) and Credit Suisse First Boston (acting through its New York Branch, as Agent) for Revolving Commercial Paper, Eurodollar Credit and Base Rate Program, previously filed with Applied Materials’ Form 10-Q for the quarter ended April 27, 1997, and incorporated herein by reference.
10.25 Real Estate and Equipment Facility Master Lease dated as of April 30, 1997 between Credit Suisse Leasing 92A, L.P. (as Lessor), and Applied Materials, Inc. (as Lessee), previously filed with Applied Materials’ Form 10-Q for the quarter ended April 27, 1997, and incorporated herein by reference.
10.26 Underwriting Agreement between Applied Materials, Inc. and Morgan Stanley & Co. Incorporated dated October 9, 1997, previously filed with Applied Materials’ Form S-3 dated October 9, 1997, and incorporated herein by reference.
10.27 Prospectus Supplement for Applied Materials’ $400 million Senior Notes dated October 9, 1997, previously filed with Applied Materials’ Form S-3 dated October 9, 1997, and incorporated herein by reference.
10.28 $250,000 Five Year Credit Agreement and $250,000 364-Day Credit Agreement, each dated as of March 13, 1998 among Applied Materials, Inc., Morgan Guaranty Trust Company of New York, as Documentation Agent and Administrative Agent, and Citicorp Securities, Inc., as Syndication Agent, previously filed with Applied Materials’ Form 10-Q for the quarter ended April 26, 1998, and incorporated herein by reference.
10.29* Amendment No. 1 to the Applied Materials, Inc. Executive Deferred Compensation Plan dated August 1, 1997, previously filed with Applied Materials’ Form 10-Q for the quarter ended July 26, 1998, and incorporated herein by reference.
10.30* Amendment No. 2 to the Applied Materials, Inc. Executive Deferred Compensation Plan dated December 1, 1997, previously filed with Applied Materials’ Form 10-Q for the quarter ended July 26, 1998, and incorporated herein by reference.
10.31* Applied Materials, Inc. 1995 Equity Incentive Plan, as amended on March 17, 1998, previously filed with Applied Materials’ Preliminary Proxy Statement dated January 27, 1998, and incorporated herein by reference.
10.32 Letters of Guarantee dated October 28, 1998 between Applied Materials, Inc. and Bank of Tokyo-Mitsubishi, Ltd., Sanwa Bank, Ltd., Sakura Bank, Ltd. and Sumitomo Bank, Ltd. on behalf of Applied Komatsu Technology, Inc.
10.33 Promissory Note dated December 15, 1998 between Applied Materials, Inc. and Applied Komatsu Technology America, Inc.
10.34 Receivables Purchase Agreement dated October 22, 1998 between Applied Materials, Inc. and Deutsche Financial Services Corporation.
10.35* Amendment No. 1 to the Applied Materials, Inc. Senior Executive Bonus Plan dated September 2, 1998.

18


         
Exhibit No. Description


10.36* Applied Materials, Inc. Employees’ Stock Purchase Plan (as amended and restated December 10, 1998), previously filed as Appendix A to Applied Materials’ Definitive Proxy Statement dated February 22, 1999, and incorporated herein by reference.
10.37 Amendment dated January 26, 1999 to Receivables Purchase Agreement dated October 22, 1998 between Applied Materials, Inc. and Deutsche Financial Services Corporation, previously filed with Applied Materials’ Form 10-Q for the quarter ended January 31, 1999, and incorporated herein by reference.
10.38 Receivables Purchase Agreement dated January 26, 1999 between Applied Materials, Inc. and Deutsche Financial Services (UK) Limited, previously filed with Applied Materials’ Form 10-Q for the quarter ended January 31, 1999, and incorporated herein by reference.
10.39 Second Amendment dated April 28, 1999 to Receivables Purchase Agreement dated October 22, 1998 between Applied Materials, Inc. and Deutsche Financial Services Corporation, previously filed with Applied Materials’ Form 10-Q for the quarter ended May 2, 1999, and incorporated herein by reference. (Confidential treatment has been granted for certain portions of the agreement).
10.40 Amendment dated April 28, 1999 to Receivables Purchase Agreement dated January 26, 1999 between Applied Materials, Inc. and Deutsche Financial Services Corporation (UK) Limited, previously filed with Applied Materials’ Form 10-Q for the quarter ended May 2, 1999, and incorporated herein by reference. (Confidential treatment has been granted for certain portions of the agreement).
10.41 $250,000,000 364-Day Credit agreement dated March 12, 1999 among Applied Materials, Inc., Citicorp USA, Inc. as Agent, and Bank of America NT&SA as Co-Agent, previously filed with Applied Materials’ Form 10-Q for the quarter ended May 2, 1999, and incorporated herein by reference.
10.42* Amendment No. 2 to the Applied Materials, Inc. 1995 Equity Incentive Plan, dated June 9, 1999, previously filed with Applied Materials’ Form 10-Q for the quarter ended May 2, 1999, and incorporated herein by reference.
10.43* Applied Materials, Inc. Nonqualified Stock Option Agreement related to the 1995 Equity Incentive Plan, previously filed with Applied Materials’ Form 10-Q for the quarter ended May 2, 1999, and incorporated herein by reference.
10.44 Form of Indemnification Agreement between Applied Materials, Inc. and Non-Employee Directors, dated June 11, 1999.
10.45 Form of Indemnification Agreement between Applied Materials, Inc. and James C. Morgan and Dan Maydan, dated June 11, 1999.
10.46 Form of Indemnification Agreement between Applied Materials, Inc. and Joseph R. Bronson, Sasson Somekh and David N.K. Wang, dated November 2, 1999.
12.1 Ratio of Earnings to Fixed Charges.
13 Applied Materials 1999 Annual Report for the fiscal year ended October 31, 1999 (to the extent expressly incorporated by reference).
21 Subsidiaries of Applied Materials, Inc.
23 Consent of Independent Accountants.
24 Power of Attorney.
27 Financial Data Schedule: filed electronically.

Indicates, as required by Item 14(a)3, a management contract or compensatory plan or arrangement.

19


SIGNATURES

      Pursuant to the requirements of Section 13 or 15(d) of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized.

  APPLIED MATERIALS, INC.

  By  /s/ JAMES C. MORGAN
 
  James C. Morgan
  Chairman of the Board and Chief Executive Officer

Dated:  January 31, 2000

      Pursuant to the requirements of the Securities Exchange Act of 1934, this report has been signed below by the following persons on behalf of the registrant and in the capacities and on the dates indicated.

         
Title Date


/s/ JAMES C. MORGAN

James C. Morgan
Chairman of the Board and Chief Executive Officer January 28, 2000
 
/s/ JOSEPH R. BRONSON

Joseph R. Bronson
Senior Vice President, Office of the President Chief Financial Officer and Chief Administrative Officer (Principal Financial Officer) January 28, 2000
 
/s/ PATRICK CROM

Patrick Crom
Vice President, Global Controller and Chief Accounting Officer (Principal Accounting Officer) January 28, 2000
 
Directors:
*

Dan Maydan
Director January 28, 2000
*

Michael H. Armacost
Director January 28, 2000
*

Deborah A. Coleman
Director January 28, 2000
*

Herbert M. Dwight, Jr.
Director January 28, 2000
*

Philip V. Gerdine
Director January 28, 2000
*

Tsuyoshi Kawanishi
Director January 28, 2000
*

Paul R. Low
Director January 28, 2000
*

Alfred J. Stein
Director January 28, 2000

Representing a majority of the members of the Board of Directors.

*By  /s/ JAMES C. MORGAN  

 
James C. Morgan  
Attorney-in-Fact**  


**  By authority of the power of attorney filed herewith.

20


REPORT OF INDEPENDENT ACCOUNTANTS ON

FINANCIAL STATEMENT SCHEDULE

To the Board of Directors of Applied Materials, Inc.

      Our audits of the consolidated financial statements referred to in our report dated November 17, 1999, appearing in the 1999 Annual Report to Stockholders of Applied Materials, Inc. (which report and consolidated financial statements are incorporated by reference in this Annual Report on Form 10-K), also included an audit of the financial statement schedule listed in Item 14(a)(2) of this Form 10-K. In our opinion, this financial statement schedule presents fairly, in all material respects, the information set forth therein when read in conjunction with the related consolidated financial statements.

/s/  PRICEWATERHOUSECOOPERS LLP


PRICEWATERHOUSECOOPERS LLP

San Jose, California

November 17, 1999

21


SCHEDULE II

VALUATION AND QUALIFYING ACCOUNTS

ALLOWANCE FOR DOUBTFUL ACCOUNTS

(Dollars in thousands)
                                 
Balance at Additions — Balance
Fiscal Year Beginning of Year Charged to Income Deductions at End of Year





1997 $ 4,169 $ 2,433 $ (1,024 ) $ 5,578
1998 $ 5,578 $ $ (4,948 ) $ 630
1999 $ 630 $ 2,112 $ (868 ) $ 1,874

22



© 2022 IncJournal is not affiliated with or endorsed by the U.S. Securities and Exchange Commission